Home > VHDL > Introduction > Types of Delays

Types of Delays :

Delta Delay :

 

 A delta delay is a very small delay. It does not correspond to any real delay and actual simulation time does not advance. This delay models hardware where a minimal amount of time is needed for a change to occur, for example, in performing zero delay simulation. Delta delay allows for ordering of events that occur at the same simulation time during a simulation. Each unit of simulation time can be considered to be composed of an infinite number of delta delays. Therefore, an event always occurs at a real simulation time plus an integral multiple of delta delays.

Inertial Delay :

Inertial delay models the delays often found in switching circuits. It is the default
delay model in digital circuits. This delay model is often used to filter out unwanted
spikes and transients on signals. It represents the time for which an input value must
be stable before the value is allowed to propagate to the output. In addition, the value
appears at the output after the specified delay.

 

Transport Delay :

 

 Transport delay models the delays in hardware that do not exhibit any inertial delay. This delay represents pure propagation delay, that is, any changes on an input is transported to the output, no matter how small, after the specified delay. To use a transport delay model, the keyword transport must be used in a signal assignment statement.