Home > VHDL > Concurrent Statements > Generate Statements

Generate Statement :

Generate statements are essential to create multiple instances of the statements. This is mainly needed where more number similar kind of the logic gate is used repeatedly. The generate statement optimizes the systems when a group of identical components are used in the design. Generate statement can include process statement, block statement, concurrent assertion statement etc. The label is essentially required for the generate statement. We can also form the nested generate statements. The generate statement has generation scheme, declarative part, concurrent statements. There are two types of generate statements,


1) for...generate
and 2) if...generate.