Home > VHDL > Introduction > VHDL statements

VHDL statements :

This section discusses the statements that you can use in VHDL. There are two classes of statements you can use in your VHDL descriptions:

  • Sequential statements
  • Concurrent statements

VHDL code contains concurrent statements, e.g. process and blocks for describing overall design in the form of behavior or structure. Concurrent statements are executed simultaneously and sequential statements execute sequentially one after other. The VHDL code describes the functionality of the hardware of the integrated circuit in the form of concurrent statements. Figure shows the detailed view of the statements used in VHDL. The important concurrent statements are,

  1. Process Statements
  2. Block Statements

Concurrent Versions of Sequential Statements :

  1. Concurrent Procedure Calls
  2. Concurrent Signal Assignments
  3. Component Instantiation Statements
  4. Direct Instantiation
  5. Generate Statements